homework 发表于 2020-10-5 08:34:33

1073《 信号与系统》20秋西南大学在线作业答案答案

1073 信号与系统
1.[单选题] <font face="宋体"></font>
无忧答案网答案资料下载,请参考帮助中心说明
<p style="color: rgb(0, 0, 0); font-size: 10.5pt; font-style: normal; font-weight: normal; margin-top: 0cm; margin-bottom: 0pt; mso-list: l0 level2 lfo1; tab-stops: list 42.0pt left 232.7pt;"><span style="font-family: 宋体; mso-bidi-font-size: 14.0pt;">   连续系统的结构图如图所示,系统的系统函数为(<span lang="EN-US"><span style="mso-spacerun: yes;">      </span></span>)。</span>
<p align="center" style="text-align: center; color: rgb(0, 0, 0); font-family: "Times New Roman",serif; font-size: 10.5pt; font-style: normal; font-weight: normal; margin-top: 0cm; margin-bottom: 0pt; tab-stops: 232.7pt;"><span lang="EN-US"><span style="font-size: 10px;"></span><img width="248" height="92" src="data:image/png;base64,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" v:shapes="_x0000_i1025"/></span>
<font face="宋体"></font>
    A.H1(s) H2(s) - H3(s)
    B.h1(t) *h2(t)+h3(t)
    C.H1(s)H2(s) + H3(s)
    D.h1(t) *h2(t)-h3(t)
2.[单选题] <font face="宋体"></font>
<p style="color: rgb(0, 0, 0); font-size: 10.5pt; font-style: normal; font-weight: normal; margin-top: 0cm; margin-bottom: 0pt; mso-list: l0 level1 lfo1; tab-stops: list 46.5pt left 116.4pt;"><span style="font-family: 宋体; mso-bidi-font-size: 14.0pt;">    已知离散系统的结构图如图所示,则该系统的冲激响应为(<span lang="EN-US"><span style="mso-spacerun: yes;">   </span></span>)。</span>
<font face="宋体"></font><span lang="EN-US" style="font-family: 宋体; font-size: 10.5pt; mso-bidi-font-size: 14.0pt; mso-bidi-font-family: "Times New Roman"; mso-font-kerning: 1.0pt; mso-ansi-language: EN-US; mso-fareast-language: ZH-CN; mso-bidi-language: AR-SA;"><span style="mso-spacerun: yes;"> </span></span><span lang="EN-US" style="font-family: "Times New Roman",serif; font-size: 10.5pt; mso-bidi-font-size: 12.0pt; mso-font-kerning: 1.0pt; mso-ansi-language: EN-US; mso-fareast-language: ZH-CN; mso-bidi-language: AR-SA; mso-fareast-font-family: 宋体;"><img width="360" height="102" src="data:image/png;base64,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" v:shapes="_x0000_i1025"/></span>
    A.h1(k)+h2(k)
    B.h1(k)*h2(k)
    C.h1(k)*h2(k)+1
    D.h1(k)*h2(k)+δ(k)
3.[单选题] <span style="font-family: 宋体; font-size: 10.5pt; mso-bidi-font-size: 12.0pt; mso-bidi-font-family: "Times New Roman"; mso-font-kerning: 1.0pt; mso-ansi-language: EN-US; mso-fareast-language: ZH-CN; mso-bidi-language: AR-SA; mso-ascii-font-family: "Times New Roman"; mso-hansi-font-family: "Times New Roman";">已知</span><span style="font-family: 宋体; font-size: 10.5pt; mso-bidi-font-size: 14.0pt; mso-bidi-font-family: "Times New Roman"; mso-font-kerning: 1.0pt; mso-ansi-language: EN-US; mso-fareast-language: ZH-CN; mso-bidi-language: AR-SA;">输入<span lang="EN-US"><span style="top: 5pt; position: relative; mso-text-raise: -5.0pt;"><img width="93" height="25" src="data:image/png;base64,R0lGODlhXQAZAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAIAAwBZABIAhQAAAAAAAB0AHQAAHR0AAAAAMx0AMgAcSB0dSAAzWh1GbDMAADIAHTIAMjQ0HTNGbjVbbjNbgEgcAEceM1ozAFozHUhbSEhZf0huW11/f0huf1l/bmxGHW5GM39ZSG5GRn9/XX9uSG5/WWaIiIBbM4iIZgECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwb/QIBwSCwaj8ikMcBsNpXQqHRKLQaq2Kw2e916v+AueCxsFM1konjBlC7SQ1LBGBLAhd3JUJxcRO5oRA9CBnd8QhIJd1IKRXZCHHCHQhRwdRp7A3sHAAxEFE+EaZNITHNCb6gAEgGKn01/kACRQnJGjUN+Vk5tUaQIRcBEbH9smiQDmJ9HBEWnQ57DWr17RhVGBK5DzULcAI9E0Uaxs8+zRgu4VE7VRbRFIQRi8X/0APZEnEYOzkQS6qpe8Wq1RAwpJWK8KSwi4UgjYB2GKANQSA+ZXnxIODF3YQguArFAdiMXwh3BVWIqZSqijUsbMRx4tZR30hgAm/I0rZQizkigFS2hFn0BJ20MNaFefgJQ+uUgUiFBAAA7" v:shapes="_x0000_i1026"/></span></span>,系统频率<span lang="EN-US"><span style="top: 14pt; position: relative; mso-text-raise: -14.0pt;"><img width="107" height="44" src="data:image/png;base64,R0lGODlhawAsAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAIABABlACUAhAAAAAAAAAAAHR0AHQAAMx0AMgAcSB0dSAAzWh1GbDMAADIAHTQdHTIAMjNGbjNbgEgcAEceM1ozAFozHUhZf1l/WV1/f0huf1l/bmxGHX9/XX9uSGaIiIBbM4iIZgECAwX/ICCOZGmeaKqu7Gi0cCzPdKkEb63v/Jz3wGAwIywadb+jcmnKJJnQ5TNKHU6r2N01y41JtjBJYJwAiMmlRrd2Cwguu4ygNC8RUJL7arDuIUp/JGp9hAAKNiUSMgp6AYEARIUyHXoilCZwJmI/HQEPI52fIgWSXmOnjnYwfCKBECQKoiOop6UAr7AlGZUnmQBlIsCxI4e2LKwjdSS8JQcjyIMApMTAMLTX2NnatC4lT5cnkQAdIxsjirkkZ7SPfcXEJwstzB1P08YotSL6JdUk9/sanWiHb4c8XTOiFeSxYQAceeYWLSyi5gaYEwprQLg4sYrFHsw6znCCIoJILBxnYDAal+pkCnEmTKrYBCpACU8uU6RMQU5EQ0sjcOVskmQdKoInIABTKuLdUG87nImANgLgUxEkT8iEMUUC0qs7Uciq5GDcVRNtlJHYigIghAB33n49qwMm3SUHrd49cmNGCAA7" v:shapes="_x0000_i1025"/></span></span>,则系统的输出的幅值为</span>(    )
    A.3
    B.1/2
    C.2
    D.1
4.[单选题] <font face="宋体"></font>
<p style="color: rgb(0, 0, 0); font-size: 10.5pt; font-style: normal; font-weight: normal; margin-top: 0cm; margin-bottom: 0pt; mso-list: l0 level2 lfo1; tab-stops: list 42.0pt left 116.4pt;"><span style="font-family: 宋体; mso-bidi-font-size: 14.0pt;">    某滤波器的幅频特性曲线如图,则<span lang="EN-US">20dB</span>阻带起始频率约为(<span lang="EN-US"><span style="mso-spacerun: yes;">      </span></span>)<span lang="EN-US">rad/s</span>。</span>
<font face="宋体"></font><span lang="EN-US" style="font-family: "Times New Roman",serif; font-size: 10.5pt; mso-bidi-font-size: 12.0pt; mso-fareast-font-family: 宋体; mso-font-kerning: 1.0pt; mso-ansi-language: EN-US; mso-fareast-language: ZH-CN; mso-bidi-language: AR-SA;"><img width="451" height="136" src="data:image/png;base64,R0lGODlhwwGIAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAcABACQAX8AhwAAAAAAAB4eHgUFBQgICB8fHwoKCgQEBBERERAQEAcHBw4ODhwcHAICAhMTEw8PDxgYGBUVFRISEhsbGxoaGhQUFA0NDRYWFhkZGRcXFwwMDAMDAx0dHQsLCwYGBioqKjU1NS0tLTY2NiEhIScnJy4uLjc3NyIiIiAgIDAwMDExMTw8PCgoKCQkJDs7Ozg4OCMjIzo6OjQ0NCUlJSwsLD4+Pi8vLysrKzIyMllZWUpKSlVVVUREREZGRkxMTFdXV0BAQE5OTkVFRVFRUUJCQlBQUFhYWEhISFtbW15eXlxcXF9fX01NTUNDQ11dXUdHR2pqamBgYGZmZnd3d2JiYm5ubnNzc2NjY3BwcHZ2dm9vb39/f2hoaHh4eGdnZ3l5eXt7e3p6enR0dH19fWtra21tbXFxcYiIiIeHh4aGhp+fn4+Pj5eXl4WFhYuLi5WVlZKSko6OjoSEhIODg5ubm4KCgp2dnZSUlJGRkYCAgLe3t7Ozs7+/v66urq+vr6enp6Wlpbu7u7S0tLGxsby8vKGhoc/Pz9jY2N/f38PDw8HBwdfX18fHx8zMzMnJycXFxdnZ2dHR0crKyvf39+fn5+/v7+bm5uDg4PPz8////wECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwj/AAEIHEiwoMGDCBMizKGwocOHECNKnEixosWLGDNq3Mixo8ePCAWAHEmypMmTKFOqXMnyoRQAOlrKnEmzps2bOG32ALCzJ8+fPoMCBeAjp9GjSJMqXWpQR4CnUKNKnUq1qtWrWLNq3cq1q9evYMOKHUu2rFmwA5YGIFCgrdu3cOPCpWrgAwggAPDqzct3r9++BkL0Hfy3MOHBBw4bXqw4bwDGkBvvPSAismXFCEZI3hyZRGXOoAsnKBG69F4FJi6r7htggdoTHAMQRAFAwVMAKTIqMNnApOySDaiUdGAySJWStEsm8I3gdeyDPEg83X2RgUnXJX+TxE4yRFGSxpGb/1yevflNQ5nSqw8gQr379/DjZwogP70eFGvR1N/PYs/+/wA6cAiABMpHX4EIuidgggwKkQaDCUahBoQIAsEHhQWigAiG8B0UwAMHscDCSui9xx6HJgKoBn4s+MFgAmug+N8Bici434E2ykdjjvK1cAWP8UkIJHwWDvmehjx6SN5AAcQkm1NRnFSieyfmSAWBk2BBQAAQ6IFgFpQY6Z4WlYip3pVmpkdmmpmwoQibbCzCJhphprlFmTl6GAFBLKgA028uSIASfFXaOGGBiKCRQACHAugHnmb+MQmbjUY6aZp8bMjmppwO2YdBAUxAkHakSkloezniiCh++gFYZJpIpv+pqpixmilkp7jmSqGHojJJhEClmjTleqjaqGmCiLAQQBR11nesmc+KGa2R0w5JyaW6ZqttfR5CQJBTwAIbk7Cn8lgpgpUUEUACjOz3KJuSUrppvJhWu+29unpIQUE6SCBBAAI0mVK5qXL4BwEEuCjfq2bWKuasRjps5K34VpztpwWFitBLKg2bXqEyesygIYueq57IQ6IMpMo8spwjIpBaLDOnvOIkMsgoKswhIhAQICd8emArZtBs6mwm0WkaYu/MTCcJaq8xRQ3T1OOWdHOxMkIMoR4BvBBzegzTurSNWgMp8ZAUN602kN1SDcBTLgBAxG2Dmog1ii4jyEUAKXz/nXfIm/6N96Ywr204jxiPuu9ARAhKkAS/kms3jxfmuEXXQjMitJFIm2n00JunPPbhpANYM5NVM2nq5AXziEUARrgXdsSjo1g2j2cDmXbpvBd4erigJqSs46NKxWQAkU/VCLGUD3lEAF2opzmblWO6afVmGvJ179xz+3RBxAN/kACOawfqiG+LSxAgAQxB5d0cYm/jJCkwmt701lN/feDbd++/e4ljkrcyNjXzgSomUQgAQwwSMIGo4DfkI0j9LPE+Ht0OQ5VAgc8yMbsh5c6Cm/pgjnb3vxJmQl8JGUJDggWqyDVQfG9rA+tsJL8cMSIALKgE/sxUwyH1EEg/bFn//0zYvd9NxCkxkYLAgges3yhrVBSsYI6CiCI08C0QoVtZ4LbIpsIRkYhGnAgLRyWSJgrkicBKAcHIZqcAVICKMhIhG9kkRxmR8IvcCyCwetVEqhwkBwJTYuoAkMCq/euMv0lg9ExkgSl4gUyGuFMkK4GILSCikpe0ZCW2oD1OVuIHhpgEGvggSlKOMhNrcFEqUekiNUzIlZmApR9i5IcHQiFGfEDDJHK5S11O8pd3wqQwNelJJDhik500pTJFqcpmovKV0IxlKmeZCWr6QZe8zKYkt1mJSGbym4gwJjLHuYVljpKZrEznKmHpSkO4YULWpCUusUnPSQCzm8G05DBh5v/JfobynAC90CoH2spoylKe1aRlPbWJz4Z6c5+V7KREN2lOUw7kBwCAwdsu0JAyekh1TARpBIEFCEI18pEOzSc4iTlRL/yzoup0Jjujac00OGAAX8hENut5z0lClKWVcCk5K4pOgj4zlgZdgyHiWc2FYpObwFzpJYXqT4qW8qpocKZRZ4qIN8ATodTcaS/tCVWV7pOcEsWqMmOazpkiNaFwtaZTd1lWfEo1omi1akBF+YWfZPQDAcCAQ/yEEEE9UHxoFEgCVagdZT1ijVnbVP1YkEUb1dF2Iawdh+6IR96FsSkG5FMAiIdDRPKLbqaFbM42pQcwwO5olc3R5zgXWxv/Ka2zJdTj2/iYkNB+5Go80uzOMvG8LUiLcMhl07Vw+7/PZixukpPiHNNkIfoFgA20Y9MFLStcCnGWuYbzUAaKZzyUADdHJhvSuzJoPyDRy0zpdW9tZZQp8BYRVIu7iWqH20X18IwAgkNUctPUXQot1769063GBsKxgjSYJOedrpkYxggCpGC+CLosh7YbxwIz6LsIZpoRfetbj0RYRvHl0bvUcznj5ui9YkrxizEcPw+HOFsjZjBIrbZfDDXLTD/OxCReEAA4FijIRkKytTZVCRrf+F7iPaOyBCCiKqPvJD2mEAE2BYR2uQcRBICAkhnEAhsjaMtsKjObuCDjJ1dM/8H5BcCVWXJiFMWIUttTw2OcXB828BlCd06Tn9mkh5+5eW3O1cjwxgcV9NWZQ2Pm0Zj3VgQZRTpHl7ZRpmXU5EOH93sAYIjURj2RkYY2AIQdyKMxhGbqehk+6sICitScplabidZmYrOnEf29qpF6kA9Z4qkPkmVAb0oNQxxyAP7AoUGnKdBmcvbRDL3rmSl4vB8Z45+ecuVVU2iIPAJ3Jv4bYPiI20bn5jSTq602FHoEXDAUSPnKeDMU8GEP7dqlkC+k711OipQ6/XeZNFAmRhQ8TIZAOMI3tAiGM1xOiIA4xA1xBEGghxIXb5cO+aAAB8CM3yDf979HngkBXCITBv9HecHLlPBMtLzlDc9EzGMe8XEvIgE1x3gmdK5zHWbC5z7vd8gDXvKTAzzlSGe5wl2+cJk7XOaL4AIcJD7ui1t95xrP+s9DLnSSA5wPK1c5ypf+8qfPnOo1R8TVeY4eoGtd6PtOz9dJnnSxv9wgO1jw8eTM9zkHe8cGccFvbraBGLBABpTQAxAMoXjGL17xejAEECI/ecmrgRIouDwQNK/LI3S+87I2QuhDHzsslL70R4BDGI4gStajAQiUUAPs98aBNzz+9o6nvO4NcYJBUGLzv9e8GibheeJ/PhOiR/7oM2F65hthC81Hg+unPwnZxx721m+89hcv+cj3vvvBD///5o1P/uIfYfnJN4IR/pCE0zOf+tIXJfbnf33H2x/y3c8/8PfP+fKDXvkAqH7ON4BYAH/UZ30ICHvbZ3/6p3viJ3zlxzgA0AEWEAAcNRAsED4WIWzAtmPFxiDphiIhuAaYA4LrxiYhyCEpyG6domC8VWJiBHgFcVgA4G0QkgCbcgSvth+vU2kJwgKbxiE4mGZBiCFcwGwsKDNRhjoaEUE0CEG/gWoCYYMM4mKCVoTqYgR8pktsYoVmwoVp8gfUloRQhl8EkWoaMVpMcmVwo2ofmCB/BiF8RnwBcAQpGIcMgodwuCl6SIZDEkZS2BJviCAQkIPllgkJ1DcEkgJFSCGF/8gmjMgmWICEfngvLsgnfZeJ0cU8OSJrc9KI6fE6l3UnkrgppBiGO1iJOAZqNkGFe8gmesgG65KK8NGHBWKLWMKHqogvS9iKg1ggj5gmR3CI6uEHCEOJ8RGJaRKMZqKMZjKJu7gt7iZlVlZlkVMSv0ggUWCKZuZyEHBd9XGKz2iKKwghf0CL0cgplzgQgDQiL6EsjMVjM1RtiIAfXpiO+Mg9RiQiBcGPAOBRv5WNAIICm1IExPgeiFA/2wgfLwCKDEKQbNKQkjhb+ZgmORY88AYSroggC2kn3agelQAEdRhkWFCOH7YpJQkn6FiRYtI2vlIQjRNvHiGQ9jUJCQQBRv/GkjqpK+soEE7RYILEdxBGk/sBkWlikOayJY0ikbCyKUz5jBS5k2zDigIxBADDAi8kePIoXXbEjUCyCPhxKFFgkgnig2kylmyyBjkplRiSaA+2OlxZifUYAEjJlnaZK4kmiPOIImrEJkYwhsaiLgHAASeXJn0pjA6ZIFsQlXeJIgo2QN/iNh1oYkRZH2b5jB+5H4ZAZDMAmDxymWKCls+2lo2JIID4jyzQLzDYERuZhGiwJVGQmaU5m+5hRMRzZZPJmpUpH4dpJn/JJhUnkssim+/Rm2JyBImJIItJm06TMS84LtqhgSOxm/EBBJvCBZ75mWXCB8IZmzxinWxSBGT/qZykyZz70ZPpIz6ruRGtqYrc+RR1aZ7yuR8u6UDoo20aSZ1Ecp3ZmSPi+R6MIJhHUJ77GZ7jWSDlNJ8oAohxkwMSgERwyYk2Ap5pgp0GGh+LkEB8M3wUQqFm8p92QqAKWptUWRP66YeIEAVbAgFdkJwjGo3oqRFvCRHt6Sr8eaH7UQlogB90qVQF4qFiAqJmkqAvCiF5SRGjBZAEdBA1+h/GaSS/iZgF8gfCuaEA8qTO46IEspxFyiAu+Wtu4xBRCF069hQd2KRSWQl+wAWLggJRUE4H2qVPVjNOIShg6hBolFiIRIPEtpccAppGggXEWR+iCYJo8I2NxgVeoh6A/4o2cQogaimnCbKOLyGdEHFI8aZCEGoQaFofWAokUWomyIkilJBLRsCjKHBOn8ojo2onjCmpHVKiTkGmEEE3ejoqCNGp5okIXYCoAYACRXBKsFptieYvKhRs+PknZ3qi7tGoQCKobFKoQMIIW2AEFQgVKAAEWKAGBxkkj/ofkTqspgMqkIkQ6ykQL3SrMkgQJjUFSwBJluRN8spJEVWvcJoAYAeni8lM/IoGE7IG//qvMaIGAzuwaMAEcaBL1zQJfnAnfOCwEKt28TqxSkOv9MoAhEBRGltO/eoHRRWwR0Ww0oQCb+AFXUADERAVA3ACYjAGZFAJDwuz2ySxNFuvGP+LV/qar6bksVnVryALsKi0BlhQBgUbSwp7tAwLsTIrszQ7rxX7tJWksxvLs1QrSj8rsNKUtWqAtAu7sDH7tTM7r5kEtf0ktRzbs2jQVzwAADwAA9JRrgbxQOeanhKgpD65RAVxMwsABl6wBZNQSZSUT4HLT4SLTKL0Ty+FSgIlUK3UuG/lB/D0VTqFS/NkT77kS4ILuJi0SYVrSYf7uWiAHpE6uheiBo5rutUUuak7uZlQCEnQBSlwAFEhAybAt00ABnKwBJCguXfCub7ruaELuqK7uIrbVqerupALV3xAuTp1uZZLVoEbvZtLTPyEuKBLvKN7ugW1uskbVsy7vC7/57yGoEu8G72/S7jCe7jFuwYDESUk8DaCFXioFWxxo20lpqtB4pVpkpJn+R+L8AdbAAS+OhUvUARbcMBbMEqIwL/RtpLiSqLOSRDxeKwTcWULxI6hxqTMqh62JiYj8KoyIgGQwCYdjCCGsLxXcAI8ShUi8HxswAcwDMMOjCAvMAddKKJ60582IqQyoidMAjkzscEfw2U4nCGDaiA8sksxnEtcQAC2YRUscAQIPMUIHHmE2mYoAmIy0kFmc8QKhh1PIplTE12QMARtgDMbFkLIaCQJEAnatSltvEt6QMVdAAQrjBXZCgR6rMdYUAA9sMSADMh4qMUowsW4c8Qe0hwU/+xrHaMeK8AHYoDGGMJhKCIBdMAmHiAJb4zJmvwfgbzEbLAFRbDHexwWKUDKqJzKAswCBjxKAPedRUwgGkYgiWwz6uE+jSDJFELJHFIDsQwgBXDE8cHLGBLMbBIEU/DJgBzKUQAEynIW0AwVBKDHb7oFeqDM2AzIEPAH2dzNgExI4BwlAWABOXHLmZDLLODN6swHRbbO7rzEGFAH7zzPGmAH8/zO7XzP61zP+rzONuAE/azOR5DMdLAGZrAER5ACCr3QDN3QDu3QGtACDT3A0VzRUGHL6bECOmXRHN3RHv3RIB3SIj3SI90b56EebbACM9AENwACIPAEQvAEMg3TM/9N0zMd0zct001g0zKN0z1d0z7N00KN0zsd1EYN1Eid00pd1En900rt1FBt00z91EPd1FX9BFMd1UdN1Vud1Vet1VaN0zG91WDN1Uk91mGd1mZN02T91UMtAjQQ1zAwAiNQATkxLI0QRf+T1w9MhpjA1/7DFAKBW5F8BzrZCMsDH3+N2I2ACRxiCYANJIgdH4uN2I6dI3cQAIbtP7qFFGoAAJ8d2qA92qJd2qQNAHgAADhw2qK92qtt2rDN2qedB28TAEog27Gd26NNBwDA26ZN27St28I92hdggbh93LptgS0QAGdw2lUQAC2g2sg93TgQANV9HMOd3RvFUb492s//Hd2vPd3a/RS3rd3mLd7oHduC3RF1m57P1RFPNLcR8ULgg0MscI0buK4VoQKENVK4mhEuoELqehH3+VEeIXjyvd4KHoOZGgAzehGaircY4aDzyyS0uhFB6REGRN8bkeAREYgDweEdLni5ueAmLhHwlpEOZN/ZFhsCIOIy2eHZZkDcVloZ4eEfDio4ZOMZkYHufeJAnt/x9i/i3BGbmhExoSxvWaeY2uEK1BEB43fXiOMGoSwlXhGWOuUynuFB3uURkVgwqIbPAd++BV1NjhFPYakWETCryeMXQeUO4S+95XcUIec/7uV4vkL6bVobceSKtppzk4YVjhHPrOdpOBJh/74RIxIwap7njv4nd5sQZ37jHjHg/03ggw7hmZ4x+L2BV04RMP6SY/7opL6kAEA8DMGGHcEQF/znD87nFqGhHnFIL/ESP5k+LwHn4ZLrHSEbVhk1Do7rd64RrV7qpQ4wLITs6w3mfC7hFIHgF61o/OiPV+YCLHDhzw4A2N7j/TgQ1r7txh7uKPHqR9Hp1zgixS7u6r7u7N7u7v7u8B7v8j7v9F7v9n7v+J7v+r7v/N7v/v7vAB/wAj/wBF/wBn/wCJ/wCr/wDN/wDv/wEB/x785tmsjuui4QStRg6Q4+Et/xKuHm7i4BGy8ROE7nHn/yvZ4U5I7xWK5jBrHyAKBEl//O8hkz8ih/8xto8nJGPh6lLD4vWvENeP4oZzuOm8gjAcpCqz4fiDRu4MCSgaRFZWKOSEE/EITlFCJiQC5gtzjf9Uga82DfYHqqgR5FPP9S68F+RgNB9jtmlVFy6h4VhddoqQk0KuFjPhJAph4VMBoPLG8vlHvu9YIvpiw+9FjJjk1/59qG7on/49oWN4Gy5+aDPBhMEFQWb9EZ+G9DwYPf+WIqPATUYFEIlL8h8xioOqIPeAKj4pduqXw67HxH8Vb5YCUWxiHl+bg/5wgx9FhfjZgv9PdZjeij4sl66nGj5npK47dZ4Jfe6YEy9TGe+9IP+2o/82G/LAwW7Bl/+vH/lvpMyPqMIxslFugyaEAjkkC0/xJc//vT3/5ruPtzlvgSgIZjZOONP/nlzyQv3lujUjU+/v4AASAAAIIEBwosmJCgABYJDyqEGFHiRIoVLV7EmFHjRo4dPX4EGRKhxIYJiQTQAWBIACIFHwZ4KEEAgCgjAZxMubKlQYcpeUqxyXNigBwuFQ4EKiGABKMGD7pwqAIA0IdCRV7FmlXrVq5dvWZksTOi2IQspCp0wQJqVQAlbyo0C7esQhVqFepgK7eg27122yZMC7WhT7h+C878mljxYsaNHT+OKCUA0Mdnh0KWDFnzZs6dPT/Oqzg0RKifTZ9GnVr16q98U5NlHXtjAUAAOw==" v:shapes="_x0000_i1025"/></span>
    A.3
    B.0.5
    C.1
    D.2
5.[单选题] LTI的含义是(   )
    A.线性因果
    B.线性稳定
    C.因果稳定
    D.线性时不变
6.[单选题] <span style="font-family: 宋体; font-size: 10.5pt; mso-bidi-font-size: 14.0pt; mso-bidi-font-family: "Times New Roman"; mso-font-kerning: 1.0pt; mso-ansi-language: EN-US; mso-fareast-language: ZH-CN; mso-bidi-language: AR-SA;">若数字滤波器在<span lang="EN-US"><span style="top: 4pt; position: relative; mso-text-raise: -4.0pt;"><img width="41" height="22" src="data:image/png;base64,R0lGODlhKQAWAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAMABAAiAA4AhQAAAAAAAB0AAAAAHR0AMgAAMwAcSB0dSAAzWh0zWh1GbDIAHTIAMjNGbjNbgEgcAEgdHUceM1ozHVozAFtISEhIW0ZGbkhuf11/f2xGHX9uSH9/XWpqam6AbmaIiIBbM4iIZgECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwZ5QIAQgBgaj8hk0nAMKJ9Gh/FwVAAyUOhiODluhd8s8vNkCh9SZWDNTgMIQvNQ/nhapZKkIyO/0q1KFEJUR3UAfYdDhkkaQ25GVohwYGIRSYZhQl1CRUpWjVCbRg8BZhNORnICA0idoFkWYkMQR4hHBbJnSK+5SqIAQQA7" v:shapes="_x0000_i1025"/></span></span>两点处的幅值为(<span lang="EN-US">1</span>,<span lang="EN-US">1</span>),则该滤波器为(<span lang="EN-US"><span style="mso-spacerun: yes;">    </span></span>)</span>
    A.A.lp
    B.bp
    C.bs
    D.hp
7.[单选题] 关于序列的插值,下列叙述错误的是(    )
    A.插值过程可分为两步,先补零,再经过低通滤波器
    B.插值意味着抽样率的转换
    C.样点之间补零,意味着零阶插值
    D.零插值后的序列,频谱会被压缩并产生基带映像频谱
8.[单选题] 已知<span lang="EN-US" style="color: red; font-family: 宋体; font-size: 10.5pt; mso-bidi-font-size: 14.0pt; mso-bidi-font-family: "Times New Roman"; mso-font-kerning: 1.0pt; mso-ansi-language: EN-US; mso-fareast-language: ZH-CN; mso-bidi-language: AR-SA;"><span style="top: 12pt; position: relative; mso-text-raise: -12.0pt;"><img width="126" height="38" src="data:image/png;base64,R0lGODlhfgAmAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAIABwB5ABwAhQAAAAAAAB0AHR0AAAAAHR0AMgAAMx0dSAAcSAAzWh0zWh1GbDIAHTMAADIAMjQ0NDNdXTNGbjNbgEgcAEgdHUceM1ozHVozAFtIHVtISEhIW0hZf0huW0huf11/f2xGHW5GRn9ZSH9uSH9/XW5/WWpqam6AbmaIiIBbM4iIZgECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwb/QIBwSCwaj8ikcslsOoeBqPRJrVqv2OQCIAFYsuCweCzMCA/ktHptFA277Lh8XMmijp058q5Xbt1GeU0IRxNwfUIohEICRRMBi2yRAwSOiGSAACgBlURbH08aa4JIF0sJl0YMRKtCrUugcpuLDYQORA1RhwVXUahCj50AF1EGQresQ3xvQx9SU7kBR4dWvEMUAMjLQ2hEE1WGQp2mQsZEIEKRrkSR30KgfF/cR9tW4e/m6UVb3kXPvkXUMRLUYMuAgEVexRrCr0g3AAuNUAPnsJk6ct4aMvkgEIAwdwAGBEDFMSG7ISCJRDTy6F9HJhMaYhBA6mU/Iv9GFsknRMSAn0OHfG4R+CqTEFJ1jCCbZiTnv5tDMAJ4BeAXkaVOGlgFtshas3XJog7ZOk9U1TjIpAlRO6yZTiJkm0SLlEuYSLYYfepCCUkZEUpQkkykEreIVyPYUh1O9STxkpcpL9lkjCSyEgVFpDLGTNkIYLGdQ68RJrr0Gp6mU4v5tle1aywGIIh7TbsK3dq4N7bTmLs3kXyWffue8GuycNy5UBcJAgA7" v:shapes="_x0000_i1025"/></span></span>,设抽样频率为100Hz,则所得序列的数字频率为 (    )
    A.0.4pi
    B.0.3pi
    C.0.2pi
    D.0.1pi
9.[单选题] <span style="font-family: 宋体; font-size: 10.5pt; mso-bidi-font-size: 14.0pt; mso-bidi-font-family: "Times New Roman"; mso-font-kerning: 1.0pt; mso-ansi-language: EN-US; mso-fareast-language: ZH-CN; mso-bidi-language: AR-SA;"><span style="mso-spacerun: yes;"> </span>已知周期序列<span lang="EN-US"><span style="top: 5pt; position: relative; mso-text-raise: -5.0pt;"><img width="64" height="38" src="data:image/png;base64,R0lGODlhQAAmAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAIABgA8ABsAhQAAAAAAAB0AAAAGAAAAHR0AHQAAMx0AMh0dSAAcSAAzWgA0SB1GbBtGbDMAADIAHTIdADIAMjQ0HTMzWzNGbjNbgEgcAEgdHUceM1ozAFszM0YzRklJHUZGM0ZdXUhZf11uXV1/f1l/bkhuf0RubmxGHW5GM25GPW5GRn9ZSH9uWX9uSG5/WX9/XW6AbmaIiIBbM4iIZgECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwb/QIBwSCwaj8ikMcA0KJ/QqHRKrSIr1qwWgABMtuBnBHABrASMoSLMbruVjjVSEsC+raXk5s43C+R9VF1MhAGBWnlIGgAZh1NlbI0ADoZCMGEWdUUlAWlTFkQWngAlCY5SkkMPm3cCAU5TpkSyoW9pqUUwr0MBAw1FaZSVALRSrsNCiQCjRhlpaw5Fq0cEVLAAgEKmykdnaSsFV0UCQxmFhIC0oEtQY0LVRAsWxULkUdFCyFUOWOAjQxYIdCDwj4i9J7qYMLPCYQg+IeEmGYHH6BwTOde2YDkA4MSyIbTWfNFmbFQ2KJzkZCLJiMguIeuicGLyBteRS6eixDRSKqcUB25DcPoUEgQAOw==" v:shapes="_x0000_i1025"/></span></span>,其周期为</span>(   )
    A.9
    B.6
    C.12
    D.3
10.[单选题] <span style="font-family: 宋体; font-size: 10.5pt; mso-bidi-font-size: 14.0pt; mso-bidi-font-family: "Times New Roman"; mso-font-kerning: 1.0pt; mso-ansi-language: EN-US; mso-fareast-language: ZH-CN; mso-bidi-language: AR-SA;">设<span lang="EN-US"><span style="top: 5pt; position: relative; mso-text-raise: -5.0pt;"><img width="129" height="26" src="data:image/png;base64,R0lGODlhgQAaAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAIABAB8ABEAhQAAAAAAAAAAHR0AHQAAMx0AMgAcSB0dSAAzWh0zWh1GbDMAADIAHTIAMjIyADNbgEgcAEgdHUceM1ozHVozAFtISEhZf11/f0huf1luf2xGHW5GRn9ZSH9ZWX9/XX9uSH9uWW6AbmaIiIBbM4BuboiIZgECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwb/QIBwSCwaj8ikcsksQgjNKHIRkFqv2CxAor02uuCweJzEEDWB9DAtGH6N7yzEYKUGHlJuXR0eCcxCC0MjUEgDgHtZaHhIaVVYcYVHEI50I0gQQ3pCcUgFWnRGm5gIUaNWDFKlSHQRRQpLGopdq0sUAaFXCU1oaYxFAW1EqUSUbEOfWLlEp0aZQsbBZAAHxY7CUoJEhEgURAu/Qo7jRbJYH0feZGmSROFMDQ61Qu1D6kPaV7m342nzSfeY8Ov3Dw2sLl80tFNoJGCgg1LMXXnnkMyzIe+WxBlQpF5FAPmEDBz3b5kmKR+naakHUQiiex2GoMOSMsk4KDBVUsOYLc1BLDvY7vXiM+QfE6CijhAc+khMpTWOjGohlqSTzqtYsXCckrWrVylWOX0dOy0IADs=" v:shapes="_x0000_i1025"/></span></span>,则<span lang="EN-US"><span style="top: 5pt; position: relative; mso-text-raise: -5.0pt;"><img width="44" height="21" src="data:image/png;base64,R0lGODlhLAAVAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAMAAwAkAA4AhAAAAAAAAB0AHQAAHR0AAAAAMwAcSB0dSAAzWh1GbDIAHTMAADIAMjNbgEgcAEgdHVozAFtIHUhuW0huf11/f2xGHX9uSH9/XW6AbmaIiIBbM4iIZgECAwECAwECAwECAwVoICCOoxMECTmehuq+pKMKagXfrpOKweC2uKCMpKgFVQHgAqgqqphHwAPAKDWNUVIhthM5SVCA6UQmWVTD0Vdky45oVuIPTSYjRg1APp/2Yo8QKDx3Yl0EJ09uL30vbYqPAGGPY42IUSEAOw==" v:shapes="_x0000_i1026"/></span></span></span>(    )
    A.2
    B.1
    C.0
    D.-3
11.[单选题] 共轭对称的信号,其幅值与相位分别为(   )
    A.C.偶、偶函数
    B.奇、偶函数
    C.偶、奇函数
    D.奇、奇函数
12.[单选题] 序列<span lang="EN-US" style="color: red; font-family: 宋体; font-size: 10.5pt; mso-bidi-font-size: 14.0pt; mso-bidi-font-family: "Times New Roman"; mso-font-kerning: 1.0pt; mso-ansi-language: EN-US; mso-fareast-language: ZH-CN; mso-bidi-language: AR-SA;"><span style="top: 12pt; position: relative; mso-text-raise: -12.0pt;"><img width="153" height="41" src="data:image/png;base64,R0lGODlhmQApAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAIABACUACIAhQAAAAAAAB0AHQAAHR0AABwcHAAAMx0AMh0dSAAcSB0zWgAzWh1GbDMAADIAHTIAMjMeRzQ0HTMzWzNGRjNGbjNbgEgcAEgdHUceM1ozAFozHVszM0YzRkhbSEhZf1l/WV1/f0huf1luf2xGHW5GM25GRn9ZWX9/XX9uSH9uWW5/WWaIiIBbM4BuboiIZgECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwb/QIBwSCwaj8ikcslMNgKBpnRKrVqv2OnIkO16v+Cw0SEum89oAOoIbQcWaDcUnq7bARdi/o7n+70jUFxFEmp3hX+JX1GKQiRCGY2SVgxHD36Xk5pMkUQVQnR2LJukUwlDlYhmp0KpWZmWZxRlmW2sQgJDgYNmuUK7RLBMLLxHKAIhYhZixKVNx8lMwkkHYRmMYNPOStVMlUwjYKO+YN/b4EQBA0MBp2RDBIwW2ELdX8VC8/T6t4EBg+/AxMsXwFwZewDChRPSrMgHAMkMNviUTg69JPiWCVnXasipNUJKAGgY5hKZhWcmEiFQBF+oPUMaeLFV5NaQYgQ+EQgFAF+X8lEbjlyzyHOKzCIUExaL9AkkkQYGqyS1CYDqlqfw3iitaLGrmyIFhiCg4vVrTIMReBaDiqrI0SFD5RQVogBuUZ/03gph5DPLW5QpiVQa+0hINABjhZCZJcSpFapR3zrl9RHukMNdHAPogAZkIDrzLB8hQHUukycF90KhivoWv6zYOpWDR1WM6SIBlWjblvtckt1HyCHR6xtXcSdUgANQfm63PygDMNeZU4T58TSoV/u5dfu6pFF8NI70Tj6NhVtRy0uC4AfFTgDs1csX828+Kel2PjToa59PfD4ZfJNBbf2JkghxBSY4xXkeKejHf39ol0QQADs=" v:shapes="_x0000_i1025"/></span></span> ,其周期为( )
    A.7
    B.2
    C.不是周期序列
    D.14
13.[单选题] <span style="font-family: 宋体; font-size: 10.5pt; mso-bidi-font-size: 14.0pt; mso-bidi-font-family: "Times New Roman"; mso-font-kerning: 1.0pt; mso-ansi-language: EN-US; mso-fareast-language: ZH-CN; mso-bidi-language: AR-SA;">对信号<span lang="EN-US"><span style="top: 4pt; position: relative; mso-text-raise: -4.0pt;"><img width="142" height="29" src="data:image/png;base64,R0lGODlhjgAdAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAIABgCKABMAhQAAAAAAAB0AAAAAHR0AHR0AMgAAMwAcSB0dSAAzWh0zWh1GbDMAADIAHTIAMjMeRyQkADIsADMzWzNGbjNbgEgdHUgcAEceM1ozHVozAE4zAE9HHFtIHUhIW1lZf0ZGbkhZf0huf11/f1luf2xGHW5GM25GRn9uSH9/XW5/WX9uWWpqam6AbmaIiIBbM4iIZgECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwb/QIBwSCwaj8ikcjkkBQLMqHRKrVqv0gp2y+0SL95rovoIY8FWh7Jstkqi6vaVTQ0tx3Kj4FksRDFRT3hDGXxeg1GISBkUeUQHhAZCkEwuRYJFlBaDGZqKVhlTWkYkRSeOQ4oIAG9YpUQDRAR2LgN2QgRVr0OnUqtGkkWjeaGkTwGxSr9FnIgWQhnOC1TBRMNCDE/TmIrHhkPTjp9LxwYbR7tC4UOQz0TFQ95PyQDyRevYRvBE7kXpcnukMFhnAQK+VEMY+IOkMBM0eU8oCelH5B8AiwAO9joy7iFEKFICVEsiUUgEdEQYNHoEoGGTIY0ACVnJK8knAfQA7AOHElXC0JJKImo4KGSQSyEkGB4EKmQZEaIXjZwQcFDRtXs+h+QKGmBMKIz/lgKgqLNIo51Crj41woFpEafWlBT62JGVET+LSjZoypFQkX7ShvzaawTukGuWcAnDKgREzaw8keBll+RfzlkAat0CsLXlEEkmGANwLGSjEQtV/fKFDBIhEhLVLLQG8Klkp4mcNP1E+g2aYCR7IE19QlPI5ohFFOQJ7eJY8SPZkNlpPczJsUtdi8wdojneEeRElFOhcyQO5C7kzyMxP8V0ETTqu4iPbwQ+gCAAOw==" v:shapes="_x0000_i1025"/></span><span style="mso-spacerun: yes;"> </span></span>进行采样,最大采样间隔为</span>(    )
    A.0.001
    B.0.005
    C.0.05
    D.0.01
14.[单选题] 下列系统中,属于线性时不变系统的是(       )。
    A.<img src='http://fs.eduwest.com/filesys/image.jsp?fc=10quiLk3cf58b9c_14ff7934397_OUL&rc=oVdA47x%2B4VctsHYg3UjY2b7YYwf6GaE2'/>
    B.<img src='http://fs.eduwest.com/filesys/image.jsp?fc=10quiLk3cf58b9c_14ff793bdc7_OUL&rc=oVdA47x%2B4VctsHYg3UjY2b7YYwf6GaE2'/>
    C.<img src='http://fs.eduwest.com/filesys/image.jsp?fc=10quiLk3cf58b9c_14ff79450e0_OUL&rc=oVdA47x%2B4VctsHYg3UjY2b7YYwf6GaE2'/>
    D.<img src='http://fs.eduwest.com/filesys/image.jsp?fc=10quiLk3cf58b9c_14ff794e34c_OUL&rc=oVdA47x%2B4VctsHYg3UjY2b7YYwf6GaE2'/>
15.[单选题] 关于稳定性的描述,下列叙述中错误的是(      )。
    A.稳定的充要条件是有界输入产生有界输出,简称BIBO
    B.线性时不变系统的稳定性理论上与输入信号无关
    C.稳定性指的是系统克服扰动恢复到平衡态的能力
    D.冲激响应可以表征系统的稳定性
16.[单选题] <img src="http://fs.eduwest.com/filesys/image.jsp?fc=10quiLk3cf58b9c_14ff78a74b3_OUL&rc=oVdA47x%2B4VctsHYg3UjY2b7YYwf6GaE2"/>
    A.<img src='http://fs.eduwest.com/filesys/image.jsp?fc=10quiLk3cf58b9c_14ff78be193_OUL&rc=oVdA47x%2B4VctsHYg3UjY2b7YYwf6GaE2'/>
    B.<img src='http://fs.eduwest.com/filesys/image.jsp?fc=10quiLk3cf58b9c_14ff78c554a_OUL&rc=oVdA47x%2B4VctsHYg3UjY2b7YYwf6GaE2'/>
    C.<img src='http://fs.eduwest.com/filesys/image.jsp?fc=10quiLk3cf58b9c_14ff78cde54_OUL&rc=oVdA47x%2B4VctsHYg3UjY2b7YYwf6GaE2'/>
    D.<img src='http://fs.eduwest.com/filesys/image.jsp?fc=10quiLk3cf58b9c_14ff78d59ea_OUL&rc=oVdA47x%2B4VctsHYg3UjY2b7YYwf6GaE2'/>
17.[单选题] <img src="http://fs.eduwest.com/filesys/image.jsp?fc=10quiLk3cf58b9c_14ff786db80_OUL&rc=oVdA47x%2B4VctsHYg3UjY2b7YYwf6GaE2"/>
    A.2
    B.4
    C.-2
    D.-4
18.[单选题] 关于三个变换之间的关系,下列叙述错误的是(    )。
    A.若原信号收敛,虚轴上的拉氏变换就是傅里叶变换
    B.s域的左半平面映射到z域的单位圆内部
    C.从s域到z域的映射是单值映射
    D.s域的右半平面映射到z域的单位圆外部
19.[单选题] <img src="http://fs.eduwest.com/filesys/image.jsp?fc=10quiLk3cf58b9c_14ff77c8205_OUL&rc=oVdA47x%2B4VctsHYg3UjY2b7YYwf6GaE2"/>
    A.<img src='http://fs.eduwest.com/filesys/image.jsp?fc=10quiLk3cf58b9c_14ff77da1ae_OUL&rc=oVdA47x%2B4VctsHYg3UjY2b7YYwf6GaE2'/>
    B.<img src='http://fs.eduwest.com/filesys/image.jsp?fc=10quiLk3cf58b9c_14ff77edcbe_OUL&rc=oVdA47x%2B4VctsHYg3UjY2b7YYwf6GaE2'/>
    C.<img src='http://fs.eduwest.com/filesys/image.jsp?fc=10quiLk3cf58b9c_14ff77f63d0_OUL&rc=oVdA47x%2B4VctsHYg3UjY2b7YYwf6GaE2'/>
    D.<img src='http://fs.eduwest.com/filesys/image.jsp?fc=10quiLk3cf58b9c_14ff77fe1f9_OUL&rc=oVdA47x%2B4VctsHYg3UjY2b7YYwf6GaE2'/>
20.[单选题] <img src="http://fs.eduwest.com/filesys/image.jsp?fc=00quiLk3cf58b9c_14ff777c79c_OUL&rc=oVdA47x%2B4VctsHYg3UjY2b7YYwf6GaE2"/>
    A.<img src='http://fs.eduwest.com/filesys/image.jsp?fc=10quiLk3cf58b9c_14ff777df5f_OUL&rc=oVdA47x%2B4VctsHYg3UjY2b7YYwf6GaE2'/>
    B.<img src='http://fs.eduwest.com/filesys/image.jsp?fc=10quiLk3cf58b9c_14ff7797a42_OUL&rc=oVdA47x%2B4VctsHYg3UjY2b7YYwf6GaE2'/>
    C.<img src='http://fs.eduwest.com/filesys/image.jsp?fc=10quiLk3cf58b9c_14ff77a2b34_OUL&rc=oVdA47x%2B4VctsHYg3UjY2b7YYwf6GaE2'/>
    D.<img src='http://fs.eduwest.com/filesys/image.jsp?fc=10quiLk3cf58b9c_14ff77adf50_OUL&rc=oVdA47x%2B4VctsHYg3UjY2b7YYwf6GaE2'/>
21.[单选题] 关于数字频率,下列表达中错误的是(    )
    A.数字频率的高频为π附近
    B.数字频率的低频为0和2π附近
    C.数字频率为模拟频率对采样频率归一化的频率
    D.数字频率的单位为Hz
22.[单选题] <img src="http://fs.eduwest.com/filesys/image.jsp?fc=10quiLi3cf58b9c_14ff5630b94_OUL&rc=oVdA47x%2B4VctsHYg3UjY2cV%2FVU6vsuPT"/>
    A.<img src='http://fs.eduwest.com/filesys/image.jsp?fc=10quiLi3cf58b9c_14ff564420b_OUL&rc=oVdA47x%2B4VctsHYg3UjY2cV%2FVU6vsuPT'/>
    B.1
    C.<img src='http://fs.eduwest.com/filesys/image.jsp?fc=10quiLi3cf58b9c_14ff5650163_OUL&rc=oVdA47x%2B4VctsHYg3UjY2cV%2FVU6vsuPT'/>
    D.无法确定
23.[单选题] 下列系统中,不属于线性系统的是(    )。
    A.<img src='http://fs.eduwest.com/filesys/image.jsp?fc=10quiLi3cf58b9c_14ff560854f_OUL&rc=oVdA47x%2B4VctsHYg3UjY2cV%2FVU6vsuPT'/>
    B.<img src='http://fs.eduwest.com/filesys/image.jsp?fc=10quiLi3cf58b9c_14ff561240d_OUL&rc=oVdA47x%2B4VctsHYg3UjY2cV%2FVU6vsuPT'/>
    C.<img src='http://fs.eduwest.com/filesys/image.jsp?fc=10quiLi3cf58b9c_14ff561a3d5_OUL&rc=oVdA47x%2B4VctsHYg3UjY2cV%2FVU6vsuPT'/>
    D.<img src='http://fs.eduwest.com/filesys/image.jsp?fc=10quiLi3cf58b9c_14ff5621e1d_OUL&rc=oVdA47x%2B4VctsHYg3UjY2cV%2FVU6vsuPT'/>
24.[单选题] 下列叙述正确的是(    )。
    A.各种离散信号都是数字信号
    B.数字信号的幅度只能取0或1
    C.将模拟信号采样直接可得数字信号
    D.采样信号经保持、滤波后可得模拟信号
25.[单选题] <img src="http://fs.eduwest.com/filesys/image.jsp?fc=10quiLi3cf58b9c_14ff5123220_OUL&rc=oVdA47x%2B4VctsHYg3UjY2cV%2FVU6vsuPT"/>
    A.LP
    B.HP
    C.BP
    D.BS
26.[单选题] <span style="font-family: 宋体; font-size: 10.5pt; mso-bidi-font-size: 14.0pt; mso-bidi-font-family: "Times New Roman"; mso-font-kerning: 1.0pt; mso-ansi-language: EN-US; mso-fareast-language: ZH-CN; mso-bidi-language: AR-SA;">设<span lang="EN-US"><span style="top: 5pt; position: relative; mso-text-raise: -5.0pt;"><img width="25" height="23" src="data:image/png;base64,R0lGODlhGQAXAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAIABAAVAA4AhQAAAAAAAB0AHQAdMh0AMgAAMwAcSB0dSAAzWh0zWh1GbDIAHTMAACwAMjIAMjNdXTNbgEgcAEgdHVozHVozAFtIHUhIW0huW0huf11/f2xGHX9ZSH9uSH9/XWaIiIBbM4iIZgECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwZgQIBwSAQgiJOiUvhZRpbFA3QBHUKKV+FRGDAIGYMGkREIZAlECcABaFYBT2Jh6CUqiJG7kDPUFClFcUMCdERseFkAV1cPRUcYQ2wUAXoBR4BCGpZvRWhQkG91nFAJWENBADs=" v:shapes="_x0000_i1025"/></span></span>是带限信号,<span lang="EN-US"><span style="top: 5pt; position: relative; mso-text-raise: -5.0pt;"><img width="43" height="24" src="data:image/png;base64,R0lGODlhKwAYAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAMACAAoAAwAhQAAAAAAAB0AHRcAAB0AAAAAMx0AMgAZKB0dNB0dSAAcSAAyMgAzWgA0SB1GbDMAADQdHTIAHTIAMjMeRzMzWzNGbjVbbjNbgEgcAEgdHVozAFozHVszM0YzRltIHUhZf11/f0huf1luf1l/bmxGHW5GM25bNX9/XX9uSH9uWW5uRGaIiIBbM4iIZgECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwaCQIAQ8CgIA4yhcslcYgLQ6DCwpDavzdAwoxQMk9ihJkoGDylMzFAtfITfwtLwMvQKJUMDfA/ADxVDH19vZFJKdCxLRgAQQwcDgARCBA58AIAAGpVoAE9GT0mJHQALACaWdgAkAYtYGggAG7CWtEMRQhFaerV8iUJJJGa8cHQbU8NLQQA7" v:shapes="_x0000_i1026"/></span><span style="mso-spacerun: yes;"> </span>rad/s</span>,则对<span lang="EN-US"><span style="top: 5pt; position: relative; mso-text-raise: -5.0pt;"><img width="44" height="30" src="data:image/png;base64,R0lGODlhLAAeAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAMABQAlABQAhQAAAAAAAAAAHR0AAB0AHR0AMgAAMwAcSB0dSAAzWh1GbDMAADIAHTIAMjMeRzNGbjNbgEgcAFozAFszM0YzRklJHUhuf11/f2xGHW5GM39ZSG5GRn9uSH9/XW6AbmaIiIBbM4iIZgECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwaQQIBwSAREAoKicslkWgCSpnS6LFCv2Kx2qNh6Hc2oErSdNCNNzAGLGT6K4vRVEqgni+uilYgWggIGQwFXXUR1g0R5QhJdCVcLShEQSndCHAOFUxwESpxKA0UNWZVDikSgRAuTVJtPRJmnRRVZkEQMTKSTVhuEV2sYAY5GiFSmSJFecFNkyUV9S23NStFFzENBADs=" v:shapes="_x0000_i1027"/></span></span>进行均匀采样的最大间隔为</span> (    )
    A.0.2s
    B.0.5s
    C.0.1s
    D.0.3s
27.[单选题] <span lang="EN-US" style="font-family: 宋体; font-size: 10.5pt; mso-bidi-font-size: 14.0pt; mso-bidi-font-family: "Times New Roman"; mso-font-kerning: 1.0pt; mso-ansi-language: EN-US; mso-fareast-language: ZH-CN; mso-bidi-language: AR-SA;"><span style="top: 5pt; position: relative; mso-text-raise: -5.0pt;"></span></span> <span lang="EN-US" style="font-family: 宋体; font-size: 10.5pt; mso-bidi-font-size: 14.0pt; mso-bidi-font-family: "Times New Roman"; mso-font-kerning: 1.0pt; mso-ansi-language: EN-US; mso-fareast-language: ZH-CN; mso-bidi-language: AR-SA;"><span style="top: 5pt; position: relative; mso-text-raise: -5.0pt;"><img width="68" height="21" src="data:image/png;base64,R0lGODlhRAAVAHcAMSH+GlNvZnR3YXJlOiBNaWNyb3NvZnQgT2ZmaWNlACH5BAEAAAAALAIAAwA/AA4AhQAAAAAAAB0AAB0AHQAAHQAAMx0AMgAdMh0dSAAcSAAzWh1GbDMAADIAHTIdADIAMjQ0HTNGbjNbgEgcAEceM1ozAF1/f0huf1l/bmxGHW5GM39/XX9uSG5/WWaIiIBbM4iIZgECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwECAwbgQIBwSBw+isciURBoIp/KqFT5KSg5AyliKBhWo4PLdBxNEiNCQ3ktVZPfwkUxK8xIGXJifkgH2OFDAQSBCQANRBVNAUNuRQcOhUKHRIqMgEN2fwBfRXsADBJDiaGfcpx6RKBFiqxFXURWRJNDDJhFEwpCsUOzQrWXQ6R+u35KeABYYrShGcSatJ5wEEXEE9G/AMQAkdlEE8ZFiaxNuXEAWxpDygBqFFEfAXvcSu1DHJcZAeUTi0IVlIOIlAMgLl44gEUGAlPSCwqchkTMLAQTBRugPqkmkpEIgCMgjh7JBAEAOw==" v:shapes="_x0000_i1025"/></span></span> (   )
<font face="宋体">
</font>
    A.D.x(t)
    B.-x(t)
    C.x(0)
    D.-x(0)
28.[判断题] 当周期趋于无穷大时,周期信号的频谱就由离散谱变为了连续谱。
    A.正确
    B.错误
29.[判断题] 离散因果LTI系统稳定的充要条件是所有极点的模值小于1。
    A.正确
    B.错误
30.[判断题] 竖式乘法可用来求有限长序列的卷积和。
    A.正确
    B.错误
31.[判断题] 正弦信号是功率信号。
    A.正确
    B.错误
32.[判断题] 数字域中,带限信号的意思是指最高频率小于等于2π。
    A.正确
    B.错误
33.[判断题] 若群延迟为常数,则数字滤滤器具有线性相位。
    A.正确
    B.错误
34.[判断题] 周期信号的单边谱与双边谱中,直流分量的幅值相同。
    A.正确
    B.错误
35.[判断题] 一个共轭对称的实信号,必为偶函数。
    A.正确
    B.错误
36.[判断题] 信号间断点处的微分,存在有冲激函数。
    A.正确
    B.错误
37.[判断题] 离散因果LTI系统,特征根模值小于1时,响应分量收敛。
    A.正确
    B.错误
38.[判断题] 单位圆上的DTFT对应序列的z变换。
    A.正确
    B.错误
39.[判断题] 原信号收敛时,虚轴上的拉氏变换就是信号的傅里叶变换。
    A.正确
    B.错误
40.[判断题] 对于DFS,时域与频域的周期都是N。
    A.正确
    B.错误
41.[判断题] 周期信号谐波分量的频率,都是基频的整数倍。
    A.正确
    B.错误
42.[判断题] 线性常系数的微分方程表示的系统是LTI系统。
    A.正确
    B.错误
43.[判断题] 冲激信号是偶函数。
    A.正确    天大 联系Q 761296021更多答案请进 ap5u.com
    B.错误
44.[判断题] 抽取与内插意味着抽样率的转换。
    A.正确
    B.错误
45.[判断题] 系统函数可由比值形式定义,故与输入信号的形式有关。
    A.正确
    B.错误
46.[判断题] 离散系统原点处的零极点,不影响频率特性幅值,只影响相位。
    A.正确
    B.错误
47.[判断题] 系统为因果系统的充要条件是冲激响应是因果的信号。
    A.正确
    B.错误
48.[判断题] 任意普通信号可分解为冲激函数的叠加,可用卷积形式来描述。
    A.正确
    B.错误
49.[判断题] 一个域离散,对应另一个域的周期延拓。
    A.正确
    B.错误
50.[判断题] 连续时间LTI系统稳定的充要条件是所有极点的实部小于等于零。
    A.正确
    B.错误
51.[判断题] 冲激响应只适用于LTI系统。
    A.正确
    B.错误
52.[判断题] 从s域到z域映射,s平面虚轴与z平面单位圆,必须对应。
    A.正确
    B.错误
53.[判断题] 系统函数可由比值形式定义,故与输入信号的形式有关。
    A.正确
    B.错误
54.[判断题] 频率响应反映了对输入频谱的加权作用。
    A.正确
    B.错误
55.[判断题] 非周期序列的频谱,是周期连续的频谱。
    A.正确
    B.错误
56.[判断题] 无论连续还是离散的LTI系统,响应都可以分解为零输入与零状态响应之和。
    A.正确
    B.错误
57.[判断题] 冲激响应是冲激函数作用下的零状态响应。
    A.正确
    B.错误
58.[判断题] 任意序列可看作单位脉冲序列移位加权的和。
    A.正确
    B.错误
59.[判断题] 因果信号是稳定的信号。
    A.正确
    B.错误
60.[判断题] 本课程中,信号一般指电信号。
    A.正确
    B.错误
61.[问答题] 周期信号的单边谱与双边谱的关系是什么?
    A.
62.[问答题] <img src="http://fs.eduwest.com/filesys/image.jsp?fc=10quiLi3cf58b9c_14ff57bb7c2_OUL&rc=oVdA47x%2B4VctsHYg3UjY2cV%2FVU6vsuPT"/>
    A.
63.[问答题] <img src="http://fs.eduwest.com/filesys/image.jsp?fc=10quiLi3cf58b9c_14ff537317d_OUL&rc=oVdA47x%2B4VctsHYg3UjY2cV%2FVU6vsuPT"/>
    A.
64.[问答题] <img src="http://fs.eduwest.com/filesys/image.jsp?fc=10quiLi3cf58b9c_14ff531227e_OUL&rc=oVdA47x%2B4VctsHYg3UjY2cV%2FVU6vsuPT"/>
    A.
65.[问答题] <p style="margin-top: auto; margin-bottom: auto; text-align: left;"><span style="font-size:10px;font-family:宋体">求图示信号的傅里叶变换。</span>
<img src="data:image/png;base64,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" alt=""/>
    A.
无忧答案网 附件是答案,请核对题目下载
页: [1]
查看完整版本: 1073《 信号与系统》20秋西南大学在线作业答案答案